本コースの目的

概要

本セミナーでは、インテル® FPGA を開発するときに必要な、インテル® Quartus® Prime 開発ツールの概要と操作フローを学ぶことができます。

また、ハンズオンとして 演習マニュアルと演習データ を公開しています。

演習の際に 開発ボード を使用すると、実機で動作させながら演習を体験することができます。

(開発ボードが無くても実施できる演習もあります。)

 

対象者
 ・FPGA 開発フローの概要をおおまかに知りたい人
 ・これから インテル® FPGA 開発をはじめる人、検討している人
  -インテル® Quartus® Prime をはじめて使用する人
 ・すでに FPGA を開発しているが、インテル® Quartus® Prime の基本操作を復習したい人
 ・インテル FPGA 公式トレーニングの受講を検討している人
  -予習として

  

受講のゴール

 ・インテル® Quartus® Prime の導入方法を知る
  -ダウンロード方法、インストール方法、ライセンスファイルの取得・設定

 ・FPGA 開発の全体フローを知る

 ・インテル® Quartus® Prime の基本操作を学ぶ

 ・インテル® Quartus® Prime に実際に触れる (ハンズオン を実施したユーザーのみ)

アジェンダ

  1. インテル® FPGA 製品紹介
  2. インテル® Quartus® Prime のダウンロードとインストール
  3. ライセンスファイルの取得と設定
  4. インテル® Quartus® Prime の概要
  5. インテル® Quartus® Prime の基本操作
  6. インテル公式 FPGA トレーニング紹介

インテル® FPGA 製品紹介

この章では、インテル® FPGA デバイスファミリをご紹介します。

(所要時間:4分00秒)

 

[この章で紹介しているコンテンツ]

Product Selector Guide

インテル® FPGA デバイスや IP を選定するときに便利なツール

▲ アジェンダへ戻る

インテル® Quartus® Prime のダウンロードとインストール

▲ アジェンダへ戻る

ライセンスファイルの取得と設定

この章では、ライセンスファイルの取得方法と設定方法をご紹介します。

(所要時間:2分30秒)

 

 

[この章で紹介しているコンテンツ]

新規ライセンス購入時のライセンスを取得する方法

インテル® Quartus® Prime および IP の Fixed ライセンス設定方法

インテル® Quartus® Prime および IP の Floating ライセンス設定方法

Quartus® Prime のライセンス形態

▲ アジェンダへ戻る

インテル® Quartus® Prime の概要

この章では、インテル® Quartus® Prime がどのような開発ソフトウェア(ツール)なのか、概要をご紹介し、基本的な操作フローを確認します。

(所要時間:3分27秒)

 

[この章で紹介しているコンテンツ]

インテル® FPGA の開発フロー/FPGA トップページ

FPGA オンチップ・デバッグ “Signal Tap” をやってみよう

▲ アジェンダへ戻る

インテル® Quartus® Prime の基本操作

この章では、インテル® Quartus® Prime の操作フローのうち、以下のフローをご紹介します。

ご覧になりたい章へ、画面をスクロールしてご利用ください。

 

・プロジェクトの作成

・デザインの作成

・論理シミュレーション

・制約の設定 (ピン配置 etc.)

・コンパイル

・タイミング検証

・プログラミング

▲ アジェンダへ戻る

プロジェクトの作成

この章では、新規プロジェクトの作成方法をご紹介します。

(所要時間:2分20秒)

▲ アジェンダへ戻る

デザインの作成

この章では、インテル® Quartus® Prime がサポートするデザイン入力方法や、Analysis & Elaboration、Message ウィンドウについて説明します。

(所要時間:5分52秒)

 

[この章で紹介しているコンテンツ]

はじめてみよう!VHDL <演習問題つき>

はじめてみよう!Verilog HDL <演習問題つき>

FPGA のサンプル・デザインを無料で手に入れよう

 

▲ アジェンダへ戻る

論理シミュレーション

▲ アジェンダへ戻る

制約の設定 (ピン配置 etc.)

この章では、コンパイルの実行前に行う代表的な制約についてご紹介します。

(所要時間:8分47秒)

 

・ピン配置と I/O 規格の設定 

・未使用ユーザ I/O ピンの設定

・コンフィグレーションの設定

・その他のオプション設定

▲ アジェンダへ戻る

コンパイル

この章では、コンパイルの操作方法や、生成されるレポートについてご紹介します。

(所要時間:3分13秒)

 

・コンパイル実行

・コンパイルレポート

▲ アジェンダへ戻る

タイミング検証

この章では、タイミング検証についてご紹介します。

(所要時間:3分09秒)

▲ アジェンダへ戻る

プログラミング

▲ アジェンダへ戻る

実機検証

この章では、Signal Tap ロジック・アナライザーについてご紹介します。

(所要時間:2分25秒)

 

[この章で紹介しているコンテンツ]

FPGA オンチップデバッグ “Signal Tap” をやってみよう

▲ アジェンダへ戻る

インテル® 公式 FPGA トレーニング紹介

この章では、インテル公式 FPGA トレーニングをご紹介します。

(所要時間:1分23秒)

インテル® FPGA 製品に関するテクニカル・トレーニングおよびセミナーは、インテル FPGA セミナー&トレーニング トップページ をご覧ください。

そのうち 本コースに関連するインテル公式 FPGA トレーニングは、以下の2コースです。

[B-2] Quartus® Prime パーフェクト・コース I 

[B-3] Quartus ® Prime パーフェクト・コースII : タイミング解析

 

▲ アジェンダへ戻る

演習コンテンツ

演習を実施するために必要な環境をご案内します。

開発ソフトウェア

・インテル® Quartus Prime 開発ソフトウェア Standard Edition または Lite Edition

・<Cyclone® 10 LP> Questa* ‐ Intel® FPGA Edition または Questa* ‐ Intel® FPGA Starter Edition

・<MAX® 10> ModelSim* ‐ Intel® FPGA Edition または ModelSim* ‐ Intel® FPGA Starter Edition

 [関連情報]

Quartus® - サポート Windows® OS 対応表

Quartus® - サポート・デバイス 対応表

 

 

演習を実施するためのマニュアル、および演習データです。 

※ 現在、演習マニュアルと演習データの公開を一時停止しています。ご迷惑をお掛けして申し訳ございません。

使用する FPGA

Cyclone® 10 LP

MAX® 10

演習マニュアル

<Cyclone 10 LP 評価キット向け>

<MAX 10 評価キット向け>

<MAX 10 開発キット向け>

演習データ

<Cyclone 10 LP 評価キット向け>

<MAX 10 評価キット向け>

<MAX 10 開発キット向け>

開発ボード

(※ 開発ボードを使用すると

演習4 および 演習5 が実機で

体験できます)

 

ご検討・ご購入は、

弊社までお問い合わせください。

Cyclone® 10 LP 評価キット

(Macnica Mouser でもご購入いただけます。)

MAX® 10 評価キット

(Macnica Mouser でもご購入いただけます。)

MAX® 10 開発キット

(Macnica Mouser でもご購入いただけます。)

インテル FPGA

ダウンロードケーブル II 

ご検討・ご購入は、

弊社までお問い合わせください。

不要

 

(オンボード インテル FPGA ダウンロードケーブル II 対応ボードのため)

必要

 

(Macnica Mouser でもご購入いただけます。)

 不要

 

(オンボード インテル FPGA ダウンロードケーブル II 対応ボードのため)

▲ ページトップへ戻る

もっと演習を実施したい人は

下記コンテンツをご活用ください。

こちらの演習も Cyclone® 10 LP 評価キット、MAX® 10 評価キットを使用しています。

 

Quartus Prime 簡易チュートリアル

 

 

▲ ページトップへ戻る

おすすめ記事/資料はこちら

インテル® FPGA の開発フロー/FPGA トップページ

 

▲ ページトップへ戻る