Intel: NativeLink シミュレーションを実行時にエラーが発生しました。Internal error: Failed to run ip-make-simscript

Quartus Primeシミュレーション

Intel:Questa* - Intel® FPGA Edition を NativeLink シミュレーションで起動しようとすると missing". Check the NativeLink log file が発生します。

Quartus Primeシミュレーション

Intel:ALTPLL IP を Questa* - Intel® FPGA Edition で RTL シミュレーションすると、出力クロック (c0 など) の波形が不定になります。なぜですか?

クロック/PLLシミュレーション

Intel:MAX® 10 で On-Chip Flash IP を使用した回路を ModelSim®-Intel® FPGA Edition で Nativelink シミュレーションすると Load でエラーになります。

MAXQuartus Primeシミュレーション

Intel:シングルポートRAMの生成を行い、RTLシミュレーションを実施していますが、"altera_syncram" が"altera_mf.v"内でモジュールが見つからず、シミュレーションエラーになりました。 "altera_syncram" が定義されているライブラリーファイルの所在を教えてください。

シミュレーション

Intel:DDR3 SDRAM Controller MegaCore supporting UniPHY を使用したデザインで Nativelink による RTL シミュレーションを行うとエラーが出ます。

外部メモリーシミュレーション

Intel:ModelSim® - Intel® FPGA Edition を使った Nativelink シミュレーション環境での検証時に DCFIFO のローディングでエラーが発生します。

シミュレーション

Intel:Synopsys® VCS-MX 用のシミュレーション・モデルが生成できません。Executable location にて VCS-MX の実行ファイルの保存ディレクトリのパスを指定しているにも関わらずエラーになります。また、Nativelink にてシミュレーションを実行してもエラーが発生します。

Quartus Primeシミュレーション

Intel:ModelSim® のプロジェクト全体で SystemVerilog の 'define 定数を正しく認識させるにはどうしたら良いですか?

シミュレーション

Intel:Quartus® Prime v15.1 で Cyclone® V の PLL を含めたシミュレーションを NativeLink で実行すると、実行途中で止まってしまいます。

NativeLink でメモリ・モデルを含めたシミュレーションを実行すると、以下のようなエラーが出ます。原因と対策を教えてください。

シミュレーション

.sip ファイルとはどのようなファイルですか?

プラットフォーム・デザイナー

Quartus Pirme Pro Edition で ModelSim - Intel FPGA Edition(旧 ModelSim-Altera)を実行させたいのですが、Nativelink の設定がありません。 設定方法を教えてください。

Quartus Prime

NativeLink 機能を使用して ModelSim や QuestaSim で DDR2 や DDR3 のシミュレーションを行おうとしていますが、ロード時にエラーが発生します。どうすれば良いですか?

シミュレーション

Quartus II の NativeLink 機能を使用して シノプシス社の Synplify ソフトウェア を実行させることは出来ますか?

シミュレーション

ModelSim-Altera Edition 6.5e をコマンド実行したら、エラーになりました。 (今までのバージョンでは問題なく実行されます。)

Intel:Quartus II の NativeLink 機能を使用し、ModelSim-AE、ModelSim-ASE で RTL シミュレーションを実行した際時、vsim-3033 エラーが発生します。 原因は何が考えられますか?

シミュレーション

Quartus II 上で EDA ツールの操作を行う機能はありますか?

Megawizard Plug-In Manager で DDR2 (DDR3) Uni-PHY を Generate した際にできる、Example Top Design の Simulation 方法を教えて下さい。

Quartus Primeシミュレーション