Intel: Implementing a flip-flop with synchronous clear is implemented in combinatorial logic. How can I use the sclr port?

Cyclone Quartus Prime

Category: Tools
Tools: Quartus® Prime
Device: Cyclone® 10 LP

To force the Quartus Prime compiler to use the sclr port,
Apply the following logic options to the appropriate registers in the Assignment Editor.

Force Use of Synchronous Clear Signals = On

Enabling this option helps reduce the total number of logic cells used in the design, but
All logic cells within a LAB (Logic Array Block) share synchronous control signals, which can adversely affect fitting.

Experienced FAE
Free consultation is available.

From specific product specifications to parts selection, the Company FAE will answer your technical concerns free of charge. Please feel free to contact us.