I'm trying to simulate DDR2 or DDR3 in ModelSim or QuestaSim using the NativeLink feature, but I get an error when loading. What should I do?

simulation

カテゴリ:シミュレーション
ツール:ModelSim®
デバイス:-


これは、vsim コマンド発行時のライブラリをロードする順番に間違いがあるのが原因です。
-L rtl_work -L work を最後に読み込んでいるので、これを先頭に移動させることで回避できます。

...simulation/modelsim ディレクトリに生成される DO ファイルを、直接修正してください。

<変更前の記述>
vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L arriav_ver -L arriav_hssi_ver -L arriav_pcie_hip_ver -L rtl_work -L work -voptargs="+acc" dut_example_sim

<変更後の記述>
vsim -t 1ps -L rtl_work -L work -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L arriav_ver -L arriav_hssi_ver -L arriav_pcie_hip_ver -voptargs="+acc" dut_example_sim

アルテラのナレッジ・データベースにも情報が記載されています。
https://www.altera.co.jp/support/support-resources/knowledge-base/solutions/fb77918.html


Experienced FAE
Free consultation is available.

From specific product specifications to parts selection, the Company FAE will answer your technical concerns free of charge. Please feel free to contact us.