Intel:fatal error: altera_msgdma.h: No such file or directory ins_tse_mac.h /BUP_APP_bsp/drivers/inc/iniche line 34 C/C++ Problem

Quartus Prime

Intel: ALTPLL のロケーションを手動で指定する方法を教えてください。

CycloneMAXQuartus Primeクロック/PLL

Intel:Remote System Update などのために Nios® II を使用して Altera Serial Flash Controller から rpd 形式のコンフィグレーション・データを ROM に書き込む場合に気を付けることはありますか?

Nios IIQuartus Prime

Intel:ModelSim®- Intel® FPGA Edition の Wave ウィンドウに表示される信号名を、フルパスではなく短い信号名だけにするにはどうすれば良いですか?

シミュレーション

Intel:FPGA 内部の Generic Serial Flash Interface IP を使用して、FPGA 外部の CPU からコンフィグレーション ROM(MT25Q)へのコンフィグレーション・データの書き込みを行いたいです。 書き込みのデータファイルはどの形式を使用すればよいですか?

Quartus Primeコンフィグレーション/プログラミング

Intel:20nm プロセス以下の FPGA において、ALTERA_FP_FUNCTIONS のシミュレーション・モデルを Verilog 指定で Generate しても末端の下位モジュールが VHDL ファイルで生成されてしまいます。VCS ではシミュレーションできないでしょうか?

ArriaQuartus Primeシミュレーション

Intel:シングルポートRAMの生成を行い、RTLシミュレーションを実施していますが、"altera_syncram" が"altera_mf.v"内でモジュールが見つからず、シミュレーションエラーになりました。 "altera_syncram" が定義されているライブラリーファイルの所在を教えてください。

シミュレーション

Intel:Arria® V SoC において、HPS の SPI マスターを FPGA にルーティングしようとしていますが、各ポートの接続方法がわかりません。

ArriaQuartus PrimeSoC FPGA

Intel:Cyclone® V SoC で、Hard Processor System (HPS) の SPI マスターを FPGA にルーティングする際の、各ポートの接続方法を教えてください。

CycloneQuartus PrimeSoC FPGA

Intel:CRAM の CRC エラー検出機能が動き出すタイミングを教えてください。

Arria

Intel:MAX® 10 User Flash Memory (UFM) に初期値ファイル (Word 245760, Size 32) を指定しSynthesis を実行すると下記ワーニングが表示されます。hex ファイルに問題がありますか?

MAXNios II

Intel:Remote System Update IP のレジスタにアクセスしていますが、ドキュメントに記載の通りの動作をしていません。なぜですか?

Nios IIIP

Intel:Arria® 10 で ADME を有効にし、Transceiver Toolkit を使用しています。この環境だと Hard PRBS を使用して Data のチェックを実施することになりますが、測定の際任意のテスト・パターンを指定...

Arria

Intel:AXI BFM Altera Edition のシミュレーションで Questa Sim で Load 時にエラーになります。

シミュレーション

Intel:Arria® 10 の温度センサ IP(Altera Temperature Sensor)はどのようなタイミングで温度を測定しますか?

Arria

Intel:Quartus® Prime Pro Edition v18.1.2 (Update 2) で Stratix® 10 MX デバイスのコンパイルを実行するとライセンス・エラーとなります。

StratixQuartus Prime

Intel:ALTERA_FP_FUNCTIONS IP では、Arria® 10 の場合 Enable Hardware Floating Point を ON/OFF することでどのように影響しますか?

ArriaDSP/Filter

Intel:インテル® FPGA で、Tr(信号の立ち上がり時間)や Tf(信号の立ち下がり時間)の規定はありますか?

Intel:Nios® II と Intel Serial Flash Controller II を使用して EPCQ128 (EPCQ128A ではない) に対して Sector Protect をかけることはできますか?

Nios II

Intel:Platform Designer(旧 Qsys)を使用して HPS-to-FPGA Bridge に altera_avalom_i2c (Altera Avalon I2C) を接続しています。デバイス・ツリー・ジェネレータを用いて、.sopcinfo からデバイスツリー(.dts)を生成しましたが、該当の i2c デバイス用の記述を確認すると .compatible = "unknown,unknown-17.1"; となり、デバイス・ドライバの紐付けが行われません。

プラットフォーム・デザイナーSoC EDS/DS-5SoC FPGA

Intel:マイ・インテル(旧 My Altera)へのサインインの方法を教えてください。

Intel:myAltera のアカウントを所有していますが、マイ・インテル のアカウントを新たに取得する必要はありますか?

Intel:FPGA ファブリック内の DSP Block の使用を制御する設定は Assignment Editor の Auto DSP Block Replacement 設定の ON/OFF により設定可能ですが、その他の方法はありますか?

Quartus PrimeDSP/Filter

Intel:Altera Serial Flash Controller と Altera Serial Flash Controller Ⅱ の違いは何ですか?

IP

Intel:Cyclone® 10 GX で Altera GPIO IP(Verilog で生成)を ModelSim® でシミュレーションすると、vsim-3033 のエラーが発生します。

Cycloneシミュレーション

Intel:I/O Standard の LVDS で 1ch の双方向に対応できますか?

Intel:DS-5 Professional Edition 用のライセンスを使用して SoC EDS でサンプル・プロジェクトをビルドしたところ、ビルド・エラーが発生しました。

SoC EDS/DS-5SoC FPGA

Intel:Cyclone® V で Qsys を用いて PCI-Express (PCIe) を使用するデザインを作成しています。コンパイル時に、PCIe 用の SDC(altera_pci_express.sdc)にて下記の無効なメッセージが確認されました。対応方法を教えてください。

プラットフォーム・デザイナーPCI ExpressIPタイミング制約/解析

Intel:PCI-Express (PCIe) の MSI 割り込みを使用します。制御方法を教えてください。

PCI Express

Intel:Altera Temperature Sensor IP はシミュレーションに対応していますか?

シミュレーション