Intel:TimeQuest Timing Analyzer で使用するコマンド “derive_pll_clocks” について教えてください。

Quartus Prime タイミング制約/解析

カテゴリ:Quartus® Prime
ツール:Quartus® Prime
デバイス:-


PLL で生成されるクロックにもクロック制約が必要です。
create_generate_clock コマンドでクロック制約も可能ですが、PLL のパラメータの変更を行うと、SDC ファイルの該当部分も修正が必要です。
 
それに対して derive_pll_clocks コマンドを使用すると、Quartus® Prime の IP Catalog で作成した PLL の情報(パラメータ)を読み込み、PLL の出力周波数を自動設定してくれます。
こんため、PLL のパラメータ修正が入っても、SDC ファイルの修正は必要ありません。
このコマンドは PLL の設定を簡単に行えるので、とても便利なコマンドです。
 
但し、このコマンドはインテル® FPGA 専用の SDC コマンドのため、SDC の使用できる他社のツールでは読み込むことができません。
 
インテルでは、derive_pll_clocks で設定した情報を、他社タイミング解析ツールでも読み込めるように、SDC ファイルに保存時に write_sdc -expand オプションを付ける事で、derive_pll_clocks ⇒ create_generate_clock に変換してくれます。

経験豊富なFAEが
無料でご相談を承ります。

特定製品の仕様からパーツの選定まで、当社のFAEが皆様のテクニカルなお悩みに無料で回答します。ぜひ、お気軽にご相談ください。