Intel:TimeQuest で非同期信号やテスト回路などタイミング解析を行わなくて良い信号についてはどのように定義すれば良いですか?

Quartus Prime タイミング制約/解析

タイミング解析の必要のないユーザ・デザインのパスについては、”set_false_path” コマンドを使用してユーザ・デザインのフォルスパス(すなわち、タイミング解析中に無視できるパス)を指定することができます。
 
コマンドの記述方法については、下記の Quartus II ヘルプをご参照ください。
 http://quartushelp.altera.com/14.1/master.htm#mergedProjects/tafs/tafs/tcl_pkg_sdc_ver_1.5_cmd_set_false_path.htm
 
また、下記資料も参考になりますので、併せてご参照ください。
 『TimeQuest ~タイミング制約の与え方~』

 


経験豊富なFAEが
無料でご相談を承ります。

特定製品の仕様からパーツの選定まで、当社のFAEが皆様のテクニカルなお悩みに無料で回答します。ぜひ、お気軽にご相談ください。