Intel:同期クリアー付きフリップフロップを実装すると、組み合わせロジックで実装されます。sclr ポートを使用するにはどうすればよいですか?

Cyclone Quartus Prime

カテゴリー:Tool
ツール:Quartus® Prime
デバイス:Cyclone® 10 LP

強制的に sclr ポートを使用させるよう Quartus Prime コンパイラーへ指示するには、
Assignment Editor で該当するレジスターに以下のロジックオプションを適用してください。

Force Use of Synchronous Clear Signals = On

このオプションを有効にすると、デザインで使用されるロジックセルの総数を減らすのに役立ちますが、
LAB (ロジック・アレイ・ブロック) 内のすべてのロジックセルが同期制御信号を共有するため、Fitting に悪影響を与える可能性があります。

経験豊富なFAEが
無料でご相談を承ります。

特定製品の仕様からパーツの選定まで、当社のFAEが皆様のテクニカルなお悩みに無料で回答します。ぜひ、お気軽にご相談ください。