Intel:Terasic 社の DE0-Nano ボードで CRC_ERROR 信号をユーザーロジックで取り込む方法を教えてください。

Cyclone Quartus Prime

カテゴリー: -
ツール: Quartus® Prime
デバイス: Cyclone® IV E

Terasic 社の DE0-Nano ボードには、Cyclone® IV E デバイス・ファミリー (EP4CE22F17C6N) が実装されているため、以下のインスタンスをデザイン内に記述することで実現できます。

■ Verilog HDL の記述例

cycloneive_crcblock u0
(
.clk (clk), // ED_CLK clock source
.shiftnld (1'b0), // ED_SHIFTNLD source
.ldsrc (1'b0), // LDSRC source
.crcerror (crcerror_core_out), // CRCERROR_CORE out destination
.regout ()
);

経験豊富なFAEが
無料でご相談を承ります。

特定製品の仕様からパーツの選定まで、当社のFAEが皆様のテクニカルなお悩みに無料で回答します。ぜひ、お気軽にご相談ください。