Intel:Signal Tap Logic Analyzer を IP Catalog で生成して実装していますが、設定を反映して STP ファイルに展開できますか?

Quartus Prime

カテゴリ:Quartus® Prime
ツール:Quartus® Prime
デバイス:-


Signal Tap をモジュールとしてデザインに組み込みコンパイルを実行後、下記メニューより実装内容を反映した STP ファイルを Save As で保存し起動することができます。

File メニュー ⇒ Create/Update ⇒ Create SignalTap II File from Design Instance(s)

その後、インテル® FPGA ダウンロード・ケーブル II (USB-Blaster II) やダウンロードする sof ファイルを指定後、書き込みをすれば STP ファイルとして使用可能となります。
ただし、STP ファイルにある Power-Up Trigger オプションは、IP Catalog 作成の方法では使用できません。


経験豊富なFAEが
無料でご相談を承ります。

特定製品の仕様からパーツの選定まで、当社のFAEが皆様のテクニカルなお悩みに無料で回答します。ぜひ、お気軽にご相談ください。