Intel:ModelSim® でバッチ・モード(GUI を起動しない)でシミュレーション実行する方法はありますか?

シミュレーション

カテゴリ:シミュレーション
ツール:ModelSim®
デバイス:-


コマンド・プロンプトから対象デザインのワーキング・ディレクトリに移動し、vsim 実行時に -c コマンドをつけて実行すると、GUI を起動せずにシミュレーション実行可能です。
環境変数の PATH に ModelSim® の実行ファイル・パスを追加しておくことが必要です。

例)スクリプトファイルを使用する場合
vsim -c -do sim.do




経験豊富なFAEが
無料でご相談を承ります。

特定製品の仕様からパーツの選定まで、当社のFAEが皆様のテクニカルなお悩みに無料で回答します。ぜひ、お気軽にご相談ください。