Quartus II v15.0.0 で Altera PLL を使用しています。下記のエラーが出てコンパイルができません。対処法を教えてください。

<メッセージ>
10481 VHDL Use Clause error at <file_name>.vhd(line_number) : design library “<name>” does not contain primary unit “<name>”

カテゴリ:Quartus® II
ツール:Quartus II
デバイス:Cyclone® V


ファイルが正しく登録されていないことが考えられます。
下記の手順にて登録し直すことで、解決する場合があります。

  1. Assignments メニュー ⇒ Settings ウィンドウを開き Category で Files を選択し、すでに対象 IP の qip ファイルが登録されている場合は一旦削除します。
  2. 対象 IP の vhd ファイルと qip ファイルを再度追加します
  3. 追加した vhd ファイルをハイライトし右側の Properties を押します。
  4. Library 欄のプルダウンから「空欄」を選択します。全ての IP に対して実施します。(空欄にしてもコンパイル後また設定されますが、エラーなく再コンパイルできます。)
  5. Settings ウィンドウの Apply を押し、OK を押して閉じます。
  6. コンパイルを実行します。

経験豊富なFAEが
無料でご相談を承ります。

特定製品の仕様からパーツの選定まで、当社のFAEが皆様のテクニカルなお悩みに無料で回答します。ぜひ、お気軽にご相談ください。