Intel:T ガードキーで FIXED ライセンスを使用しています。Questa* - Intel® FPGA Edition または ModelSim* - Intel® FPGA Edition を起動するとエラーになります。

シミュレーション

Intel: 「MSVCR120.dll が見つからないため、コードの実行を続行できません。プログラムを再インストールすると、この問題が解決する可能性があります。」と表示されツールが起動できません。

シミュレーション

Intel:IP Catalog または Platform Designer でメモリー IP (ROM/RAM) を作成し初期値を登録しましたが、RTL シミュレーション結果に初期値が反映されません。

Quartus Primeシミュレーション

Microchip FPGA: 「ARM Cortex-M1 プロセッサ・ソフトIPコア」を実装した場合、ModelSim MEでブート・シーケンスのシミュレーションは可能ですか?

IPLibero SoCPolarFire

Intel:ModelSim* - Intel® FPGA Edition で使用するエディタ―を外部エディタ―に変更する方法を教えてください。

シミュレーション

Intel:ModelSim® などのシミュレーターでシミュレーションをおこなうとき、FPGA 内蔵の Pull-Up を使用している信号をどのように記述すれば良いですか?

シミュレーション

Intel:ModelSim* - Intel® FPGA Edition の内蔵エディターで日本語を表示させる方法を教えてください。

シミュレーション

Intel:PLL をシミュレーションしようとしたところ、RTL シミュレーション用ファイル *.v と ゲートレベル用シミュレーションファイル *.vo で出力クロックの周波数に僅かな違いがありました。これは何故ですか?

シミュレーション

Intel:ModelSim®- Intel® FPGA Edition の Wave ウィンドウに表示される信号名を、フルパスではなく短い信号名だけにするにはどうすれば良いですか?

シミュレーション

Intel:MAX® 10 で On-Chip Flash IP を使用した回路を ModelSim®-Intel® FPGA Edition で Nativelink シミュレーションすると Load でエラーになります。

MAXQuartus Primeシミュレーション

Intel:MAX® 10 FPGA の ADC を ModelSim® で RTL シミュレーションを実施した実績のあるデザインを、別のディレクトリに移植して同様の作業を行ったところ、エラー・メッセージになります。

IPMAXシミュレーション

Intel:ALTLVDS_RX IP を RTL レベル・シミュレーションすると、"lvds_rx_reg_setting" パラメーター部分でエラーが発生します。

IPシミュレーション

Mentor:ModelSim® でシミュレーションで $fopen でファイル出力をするとファイル数が30ファイルに制限されます。回避策を教えてください。

シミュレーション

Mentor:ModelSim® の起動時にウインドウのレイアウトやサイズをカスタマイズすることはできますか?

シミュレーション

Mentor:ModelSim® のコンパイルのデフォルトを System Verilog にしたい

シミュレーション

Intel:20nm プロセス以下の FPGA において、ALTERA_FP_FUNCTIONS のシミュレーション・モデルを Verilog 指定で Generate しても末端の下位モジュールが VHDL ファイルで生成されてしまいます。VCS ではシミュレーションできないでしょうか?

ArriaQuartus Primeシミュレーション

Intel:シングルポートRAMの生成を行い、RTLシミュレーションを実施していますが、"altera_syncram" が"altera_mf.v"内でモジュールが見つからず、シミュレーションエラーになりました。 "altera_syncram" が定義されているライブラリーファイルの所在を教えてください。

シミュレーション

Intel:ModelSim® や Questa® Sim のバージョンが以前は 10.6、10.7 等の記載でしたが、2019年から 2019.1、2020.1 等になっています。 2019.1 リリース後も 10.7f 等、10.7 のバージョンもリリースが続いているようですが、これらは何が違うのですか?

シミュレーション

Intel:DDR3 SDRAM Controller MegaCore supporting UniPHY を使用したデザインで Nativelink による RTL シミュレーションを行うとエラーが出ます。

外部メモリーシミュレーション

Intel:ModelSim® などの EDA シミュレーターで VCD を生成するためのスクリプトを Quartus® Prime に生成させる "Generate Value Change Dump file script" オプションが、Pro Edition にはありません。設定する方法を教えてください。

Quartus Prime

Intel:Arria® 10 デバイスでの PCI-Express (PCIe) Gen3 Root Port シミュレーションの実行方法を教えてください。

ArriaPCI ExpressQuartus Primeシミュレーション

Intel:Cyclone® V DDR3 EMIF (External Memory Interface) IP 利用時に、ユーザーモード開始時にモードレジスタ(MR0-3)に設定される値をシミュレーションや実機で確認することはできますか?

CycloneQuartus Prime外部メモリー

Intel:Quartus® Prime Pro Edition で Tools メニュー > Generate Simulator Setup Script for IP で生成されるシミュレーション・スクリプトには、プロジェクト内のユーザー・デザインファイルも含まれますか?

Quartus Primeシミュレーション

Intel:Questa® Sim のバージョン 2019.1 以降を使用して Quartus® Prime や Platform Designer 等で生成したシミュレーション用スクリプト(msim_setup.tcl 等)を実行すると下記のエラーが発生する場合があります

シミュレーション

Intel:Modelsin® でシミュレーション実行後に、表示していなかった信号を後から表示する方法はありますか?

シミュレーション

Intel:インテル® HLS コンパイラーで生成した IP を Platform Designer システムに追加し、シミュレーションモデル(VHDL)を生成しました。 ModelSim でシミュレーションすると、IP から不定値が出力されてしまいます。

HLSQuartus Primeシミュレーションプラットフォーム・デザイナー

Intel:Nios®II を含むシミュレーションを行う場合、main() 関数が起動するまでの時間を短縮する方法はありませんか?

Nios IIシミュレーション

Intel:ALTCLKCTRL IP のシミュレーション・モデルを VHDL で生成して ModelSim でコンパイルすると、エラーになります。

IPQuartus Primeシミュレーション

Intel:Mentor® Graphics のシミュレーターを使用していますが、インテル® FPGA のデザインをシミュレーションする際はどのバージョンを使用すれば良いですか?

シミュレーション

Intel:ModelSim® - Intel® FPGA Edition をノードロックライセンスで使用しているマシンに、Windows のリモートデスクトップ経由でアクセスしてシミュレーションを実行すると、ライセンスエラーになります。

シミュレーション