Intel: Create HDL Design File from Current File is missing from File menu > Create/Update in Quartus® Prime Pro Edition.

Quartus Prime

Intel: An error occurred when running NativeLink simulation. Internal error: Failed to run ip-make-simscript

QuartusPrimeSimulation

Intel: In Quartus® Prime Standard and Lite Edition 23.1, the Wizard screen crashes while creating ALTPLL.

CycloneMAXQuartus PrimeClock/PLL

Intel:Internal Error: Sub-system: DSPF, File: /quartus/h/shm_mdb_sys.h, Line: 468

CycloneQuartus Prime

Intel: If I edit a custom IP after adding it to the system in Platform Designer, will the edits be reflected in the IP in the system?

Quartus PrimePlatform Designer

Intel: Do I need to power VCCR_GXB[L1][C,D] if the Cyclone® 10 GX transceiver is unused? If so, how many volts do you supply?

CycloneQuartus PrimePower Supply/EnpirionTransceiver

Intel: A Gen3 compatible Endpoint device is inserted into a Gen4 compatible PCIe slot, but it is not recognized correctly. What could be the cause?

ArriaPCI Express

Intel: What is the supply voltage to connect to VCCH_SDM in Intel Agilex® 7 FPGA if only F-Tile is implemented?

AgilexPower/Enpirion

Intel: Design Assistant feature cannot be selected.

MAXQuartus Prime

Intel: Questa* - When I try to launch Intel® FPGA Edition with NativeLink simulation, "missing". Check the NativeLink log file occurs.

QuartusPrimeSimulation

Intel:Cyclone® 10 GX を開発するときに、有償の Quartus® Prime Pro Edition を無償で使用するにはどのようにすれば良いですか?

CycloneQuartus Prime

Intel: The Add State Machine Nodes feature in *.stp (Signal Tap Analyzer File) in Quartus® Prime Standard Edition is missing in the Edit menu in Pro Edition.

Quartus Prime

Intel: How do I generate the Datasheet Report that was generated by default in previous versions of Quartus® Prime timing reports?

Quartus PrimeTiming Constraints/Analysis

Intel: Logic written in SystemVerilog interface (modport) causes an error when used in Platform Designer's Component Editor. Please tell me the cause of the error and how to deal with it.

Quartus Prime

Intel: When using the Intel® Quartus® Prime Pro Edition software on Windows 10, some of the windows are garbled in Platform Designer and System Console. Is there any workaround?

Quartus Prime

Intel: I have installed all supported device families in the Intel® Quartus® Prime Pro Edition software, but I only see Intel® Cyclone® 10 GX FPGA in the device family selection screen.

AgilexArriaQuartus PrimeStratix

Intel: In Quartus® Prime Pro Edition 22.2, if .qdz is additionally installed with Install devices, a warning will occur and installation will not be possible.

Quartus Prime

Intel: Questa* - Error when launching Intel® FPGA Edition. Unable to checkout a license. Make sure your license file environment variables are set correctly and then run 'lmutil lmdiag' to diagnose the problem.

simulation

Intel: What are the options to divide the register fanout count and reduce the fanout count per register?

Quartus Prime

Intel: Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details

Quartus Prime

Intel: Implementing a flip-flop with synchronous clear is implemented in combinatorial logic. How can I use the sclr port?

CycloneQuartus Prime

Intel: In Platform Designer, if the reset polarity of a user-created IP is different from the reset polarity of an existing IP in the IP Catalog, does the user need to make any adjustments?

CycloneMAXQuartus PrimePlatform Designer

Intel: HDL of self-developed IP is encrypted by IEEE1735 method by third-party tool. What public encryption key is required for Quartus Prime Pro Edition?

Quartus Prime

Intel:quartus_cpf コマンド (Convert Programming Files) において、Memory Map File (*.map) を生成させる方法を教えてください。

Quartus PrimeConfiguration/Programming

Intel: When I compiled HDL code written in arrays to infer memory for MAX® 10 FPGAs, it was placed in logic elements instead of memory blocks.

MAXQuartus Prime

Intel: Modular ADC core Intel FPGA IP in MAX® 10 FPGA Single Power Supply Device, when using ADC Voltage Reference with Internal Reference, I can choose between 3.0V and 3.3V.

IPMAXQuartus Prime

Intel:External Memory Interface IP コアのパラメーター設定において、Mem Timing タブの Speed Bin のリスト中に 使用するメモリーに該当するパラメーターがない場合はどうすればよいですか?

IPQuartus Prime外部メモリー

Intel: Is it possible to share two FRAM addresses and data on Platform Designer?

Quartus Prime

Intel: Error(18101): An external memory interface or PHYLite IP core reference clock fed by a cascaded PLL. Connect the external memory interface or PHYLite IP core reference clock to an input buffer

AgilexArriaCycloneIPQuartus PrimeStratixClock/PLL

Intel: fatal error: altera_msgdma.h: No such file or directory ins_tse_mac.h /BUP_APP_bsp/drivers/inc/iniche line 34 C/C++ Problem

Quartus Prime