Intel: Create HDL Design File from Current File is missing from File menu > Create/Update in Quartus® Prime Pro Edition.

Quartus Prime

Intel: Where can I find the HAL API documentation for Nios® V?

Nios V

Intel: Where is Nios® V bug information published?

Nios V

Intel: Is there any benchmark information for Nios® V?

Nios V

Intel: Is there logic size information for Nios® V?

Nios V

Intel: An error occurred when running NativeLink simulation. Internal error: Failed to run ip-make-simscript

QuartusPrimeSimulation

Intel: In Quartus® Prime Standard and Lite Edition 23.1, the Wizard screen crashes while creating ALTPLL.

CycloneMAXQuartus PrimeClock/PLL

Intel:Internal Error: Sub-system: DSPF, File: /quartus/h/shm_mdb_sys.h, Line: 468

CycloneQuartus Prime

Intel: Is Nios® V paid?

Nios V

Intel: If I edit a custom IP after adding it to the system in Platform Designer, will the edits be reflected in the IP in the system?

Quartus PrimePlatform Designer

Intel: Do I need to power VCCR_GXB[L1][C,D] if the Cyclone® 10 GX transceiver is unused? If so, how many volts do you supply?

CycloneQuartus PrimePower Supply/EnpirionTransceiver

Intel: A Gen3 compatible Endpoint device is inserted into a Gen4 compatible PCIe slot, but it is not recognized correctly. What could be the cause?

ArriaPCI Express

Intel: What is the supply voltage to connect to VCCH_SDM in Intel Agilex® 7 FPGA if only F-Tile is implemented?

AgilexPower/Enpirion

Intel: Is it OK to stop the Arria® 10 CLKUSR pin after entering user mode?

Arria

Intel: Design Assistant feature cannot be selected.

MAXQuartus Prime

Intel: Questa* - When I try to launch Intel® FPGA Edition with NativeLink simulation, "missing". Check the NativeLink log file occurs.

QuartusPrimeSimulation

Intel:Cyclone® 10 GX を開発するときに、有償の Quartus® Prime Pro Edition を無償で使用するにはどのようにすれば良いですか?

CycloneQuartus Prime

Intel: The Add State Machine Nodes feature in *.stp (Signal Tap Analyzer File) in Quartus® Prime Standard Edition is missing in the Edit menu in Pro Edition.

Quartus Prime

Intel: How do I generate the Datasheet Report that was generated by default in previous versions of Quartus® Prime timing reports?

Quartus PrimeTiming Constraints/Analysis

Intel: When ALTPLL IP is RTL simulated on Questa* - Intel® FPGA Edition, the waveform of output clocks (such as c0) is indeterminate. why?

Clock/PLLsimulation

Intel: Logic written in SystemVerilog interface (modport) causes an error when used in Platform Designer's Component Editor. Please tell me the cause of the error and how to deal with it.

Quartus Prime

Intel: Can I simulate the Generic Serial Flash Interface Intel® FPGA IP?

QuartusPrimeSimulation

Intel: How many cycles should the Avalon-MM interface (avmm_readdata[31:0]) of the Intel eSPI Agent Core have to wait for a read?

IP

Intel: When using the Intel® Quartus® Prime Pro Edition software on Windows 10, some of the windows are garbled in Platform Designer and System Console. Is there any workaround?

Quartus Prime

Intel: I have installed all supported device families in the Intel® Quartus® Prime Pro Edition software, but I only see Intel® Cyclone® 10 GX FPGA in the device family selection screen.

AgilexArriaQuartus PrimeStratix

Intel: In Quartus® Prime Pro Edition 22.2, if .qdz is additionally installed with Install devices, a warning will occur and installation will not be possible.

Quartus Prime

Intel: Questa* - Error when launching Intel® FPGA Edition. Unable to checkout a license. Make sure your license file environment variables are set correctly and then run 'lmutil lmdiag' to diagnose the problem.

simulation

Intel: What are the options to divide the register fanout count and reduce the fanout count per register?

Quartus Prime

Intel: Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details

Quartus Prime

Intel: I'm using a FIXED license with a T guard key. I get an error when launching Questa* - Intel® FPGA Edition or ModelSim* - Intel® FPGA Edition.

simulation