Intel: Create HDL Design File from Current File is missing from File menu > Create/Update in Quartus® Prime Pro Edition.

Quartus Prime

Intel: An error occurred when running NativeLink simulation. Internal error: Failed to run ip-make-simscript

QuartusPrimeSimulation

Intel: In Quartus® Prime Standard and Lite Edition 23.1, the Wizard screen crashes while creating ALTPLL.

CycloneMAXQuartus PrimeClock/PLL

Intel: Questa* - When I try to launch Intel® FPGA Edition with NativeLink simulation, "missing". Check the NativeLink log file occurs.

QuartusPrimeSimulation

Intel: The Add State Machine Nodes feature in *.stp (Signal Tap Analyzer File) in Quartus® Prime Standard Edition is missing in the Edit menu in Pro Edition.

Quartus Prime

Intel: How do I generate the Datasheet Report that was generated by default in previous versions of Quartus® Prime timing reports?

Quartus PrimeTiming Constraints/Analysis

Intel: In Quartus® Prime Pro Edition 22.2, if .qdz is additionally installed with Install devices, a warning will occur and installation will not be possible.

Quartus Prime

Intel: Implementing a flip-flop with synchronous clear is implemented in combinatorial logic. How can I use the sclr port?

CycloneQuartus Prime

Intel: HDL of self-developed IP is encrypted by IEEE1735 method by third-party tool. What public encryption key is required for Quartus Prime Pro Edition?

Quartus Prime

Intel: Is it possible to share two FRAM addresses and data on Platform Designer?

Quartus Prime

Intel: As long as the version of Quartus® Prime is the same, will the results be the same no matter which computer I run the compilation on?

Quartus Prime

Intel: I want to use only the Quartus® Prime Programmer feature, do I need a license?

Quartus Prime

Intel: Is there a way to check the preconfigured license settings without launching Quartus® Prime?

Quartus Prime

Intel: Compiling Quartus® Prime after changing a non-Platform Designer instance only updates the timestamp in the sopcinfo file, even though I haven't changed anything in Platform Designer.

Nios IIQuartus Prime

Intel: An AXI ID Bus error occurs when using AXI in Platform Designer. error: arria10_hps_f2sdram0_data: width of slave id signals (4) must be atleast 5. increase slave id width or reduce widths for any connected axi master

Nios IIQuartus Prime

Intel: In Quartus® Prime Pro Edition STP files, the display of the Search button in Node Finder is not active and cannot be searched.

Quartus Prime

Intel: What is the value of tMET needed to calculate MTBF?

Quartus PrimeTiming Constraints/Analysis

Intel:Internal Error: Sub-system: DEV, File: /quartus/ddb/dev/dev_family_info_mgr_body.cpp

Quartus Prime

Intel: When installing the USB-Blaster II (or USB-Blaster) driver on Windows® 10, an error log occurred stating "There was a problem installing the driver for the device".

Quartus Prime

Intel: Quartus® Prime Pro Edition and Standard Edition have EULA (Software License Agreement).Do you have EULA for Lite Edition?

Quartus Prime

Intel: Compiling with Quartus® Prime Standard Edition results in FLEXlm software error. Please tell me what to do.

Quartus Prime

Intel: Do you have any references for Nios® II software development?

Nios II

Intel: Intel HLS Compiler installer is missing in Quartus® Prime Standard Edition v20.1.

HLS

Intel: The Pro Edition does not have a "Generate Value Change Dump file script" option that causes Quartus® Prime to generate a script for VCD generation in EDA simulators such as ModelSim®. Please tell me how to set it.

Quartus Prime

Intel: I have Parallel comiplation enabled in Quartus® Prime, but Analysis & synthesis reports that it does not work with multi-core. Under what conditions does multicore work?

Quartus Prime

Intel: "File <name> is corrupted" error when selecting pof/jic files in Programmer for MT25Q flash devices.

Quartus PrimeConfiguration/Programming

Intel: When I run the Quartus® Prime Pro Edition Programmer and Tools Programmer from the command line, I get the error "Code execution cannot continue because pgm_pgmplugin_bkp_tester.dll was not found."

Quartus PrimeConfiguration/Programming

Intel: Should pins marked as GND in the Pin-Outs file provided by the Hard Memory Controller (HMC) in the "HMC Pin Assignment for DDR3/DDR2" section be connected to GND?

IPQuartus PrimeExternal memoryboard

Intel: Where can I find the release notes for Quartus® Prime Pro Edition v19.1?

Quartus Prime

Intel: Does Stratix® V support partial reconfiguration?