Intel: NativeLink シミュレーションを実行時にエラーが発生しました。Internal error: Failed to run ip-make-simscript

Quartus Primeシミュレーション

Intel:Questa* - Intel® FPGA Edition を NativeLink シミュレーションで起動しようとすると missing". Check the NativeLink log file が発生します。

Quartus Primeシミュレーション

Intel:ALTPLL IP を Questa* - Intel® FPGA Edition で RTL シミュレーションすると、出力クロック (c0 など) の波形が不定になります。なぜですか?

クロック/PLLシミュレーション

Intel:Quartus® Prime Pro Edition 22.2 において Install devices で .qdz を追加インストールすると、Warning が発生してインストールができません。

Quartus Prime

Intel:Questa* - Intel® FPGA Edition を起動するとエラーが発生します。Unable to checkout a license. Make sure your license file environment variables is set correctly and then run 'lmutil lmdiag' to diagnose the problem.

シミュレーション

Intel:T ガードキーで FIXED ライセンスを使用しています。Questa* - Intel® FPGA Edition または ModelSim* - Intel® FPGA Edition を起動するとエラーになります。

シミュレーション

Intel:Questa*- Intel® FPGA Edition でシミュレーションすると、ポートや内部信号の一部が表示されません。

シミュレーション

Intel:Questa* - Intel® FPGA Edition を起動するとエラーが発生します。FlexNet version of vendor daemon is too old.

シミュレーション

Intel: 「MSVCR120.dll が見つからないため、コードの実行を続行できません。プログラムを再インストールすると、この問題が解決する可能性があります。」と表示されツールが起動できません。

シミュレーション

Intel:IP Catalog または Platform Designer でメモリー IP (ROM/RAM) を作成し初期値を登録しましたが、RTL シミュレーション結果に初期値が反映されません。

Quartus Primeシミュレーション

Intel:Questa* - Intel® FPGA Edition を起動するとエラーが発生します。Cannot checkout an uncounted license within a Windows Terminal Services guest session.

シミュレーション

Intel:MTBF を計算する際に必要になる tMET の値を教えてください

Quartus Primeタイミング制約/解析

Intel:ModelSim® や Questa® Sim のバージョンが以前は 10.6、10.7 等の記載でしたが、2019年から 2019.1、2020.1 等になっています。 2019.1 リリース後も 10.7f 等、10.7 のバージョンもリリースが続いているようですが、これらは何が違うのですか?

シミュレーション

Intel:DDR3 SDRAM Controller MegaCore supporting UniPHY を使用したデザインで Nativelink による RTL シミュレーションを行うとエラーが出ます。

外部メモリーシミュレーション

Intel:Questa® Sim のバージョン 2019.1 以降を使用して Quartus® Prime や Platform Designer 等で生成したシミュレーション用スクリプト(msim_setup.tcl 等)を実行すると下記のエラーが発生する場合があります

シミュレーション

Intel:Mentor® Graphics のシミュレーターを使用していますが、インテル® FPGA のデザインをシミュレーションする際はどのバージョンを使用すれば良いですか?

シミュレーション

Intel:AXI BFM Altera Edition のシミュレーションで Questa Sim で Load 時にエラーになります。

シミュレーション

Intel:アサーションを wave ウィンドウに add wave コマンドで追加するにはどうしたらいいですか?

シミュレーション

Intel:ModelSim® の transcript に表示されるメッセージの最初の方が消えてしまいます。

シミュレーション

Intel:ModelSim® の波形ウィンドウ(wave window)で表示波形の色を変更する方法を教えてください。

シミュレーション

ModelSim または ModelSim-Altera で WLF ファイルを開こうとすると、エラー・メッセージが出て開けません。

Intel:Quartus® Prime v15.1 でサポートしている EDA ツール(Synthesis ツールと Simulation ツール)のバージョンを教えて下さい。

NativeLink 機能を使用して ModelSim や QuestaSim で DDR2 や DDR3 のシミュレーションを行おうとしていますが、ロード時にエラーが発生します。どうすれば良いですか?

シミュレーション

Quartus II v15.0 でサポートしている EDA ツール (Synthesis ツールと Simulation ツール) のバージョンを教えて下さい。

シミュレーション

Intel:ModelSim-Altera は VHDL と VerilogHDL の混在シミュレーションをサポートしていますか?

シミュレーション

ModelSim-Altera Starter Edition のシミュレーション実行時間がかなりかかってしまいます。 早く行う方法はありませんか?

シミュレーション

Quartus II v13.0 でサポートしている EDA ツールのバージョンを教えて下さい。

Quartus II v13.0SP1 でサポートしている EDA ツールのバージョンを教えて下さい。

シミュレーション

Quartus II v13.1 でサポートしている EDA ツールのバージョンを教えて下さい。

Quartus II v14.1 でサポートしている EDA ツール (Synthesis ツールと Simulation ツール) のバージョンを教えて下さい。