Quartus II v13.0 でサポートしている EDA ツールのバージョンを教えて下さい。

Quartus II v13.0 でサポートしている EDA ツールのバージョンは以下の通りです。

Synthesis Tools
 -Synopsys Synplify, Synplify Pro, Synplify Premier:E-2013.03-SP1
 -Mentor Graphics Precision RTL Synthesis:2013a

Simulation Tools
 -Mentor Graphics ModelSim:10.1d
 -Mentor Graphics ModelSim-Altera:10.1d
 -Mentor Graphics ModelSim-Altera Starter Edition:10.1d
 -Mentor Graphics QuestaSim:10.1d
 -Cadence INCISIV Enterprise Simulator:12.10.013 (Linux only)
 -Synopsys VCS / VCS MX:2012.09-3
 -Aldec Active-HDL:9.2 (Windows only)
 -Aldec Riviera-PRO:2012.10

Quartus II の各バージョンでサポートしている EDA Tools とバージョンは、Quartus II のリリース・ノートに詳細が記載されています。 ご使用されている Quartus II の該当バージョンのリリース・ノートを併せてご確認下さい。

https://www.altera.com/en_US/pdfs/literature/rn/rn_qts.pdf (最新)
http://www.altera.com/literature/archives/lit-archive-index.jsp?doctype=Release%20Notes&prodCat=Quartus%20II%20Software (旧バージョン)

経験豊富なFAEが
無料でご相談を承ります。

特定製品の仕様からパーツの選定まで、当社のFAEが皆様のテクニカルなお悩みに無料で回答します。ぜひ、お気軽にご相談ください。