Intel: Using Questa® Sim version 2019.1 or later, the following error may occur when executing simulation scripts (msim_setup.tcl, etc.) generated by Quartus® Prime, Platform Designer, etc.

simulation

Category: Simulation
Tools: ModelSim® / Questa® Sim
device:-

<Error message>

  • Error (suppressible): (vsim-12110) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User's Manual section on Preserving Object Visibility with vopt.

An error occurs if -novopt is used in the vsim command options mentioned in the script.
As of Questa® Sim v10.7, -novopt has been deprecated and this option will be removed in the future.

<Workaround>
It can be avoided by editing the vsim command option in the script file.
Make any edits and give it a try.
(1) Change -novopt option to -voptargs="+acc" (Example: vsim -voptargs="+acc" $elabcommand)
(2) Add -suppress 12110 (e.g. vsim -suppress 12110 -novopt $elabcommand)

Experienced FAE
Free consultation is available.

From specific product specifications to parts selection, the Company FAE will answer your technical concerns free of charge. Please feel free to contact us.