create_clock -name CLK -period 20 [get_ports {CLK}] derive_clock_uncertainty set_false_path -from [get_ports {altera_reserved_tck}] -to * set_false_path -from [get_ports {altera_reserved_tdi}] -to * set_false_path -from [get_ports {altera_reserved_tms}] -to * set_false_path -from [get_ports {CLR}] -to * set_false_path -from [get_ports {PB}] -to * set_false_path -from * -to [get_ports {altera_reserved_tdo}] set_false_path -from * -to [get_ports {LED}]